module stimulus; // Set up variables reg A; wire out; reg Clk; // Always declared so can simulate based on clock integer handle3, desc3; //You need to define these variables as integers // Instantiate the D-register dreg D1(A, Clk, out); // Setup the clock to toggle every 1 time units initial begin Clk = 1'b1; forever #1 Clk = ~Clk; end initial begin handle3 = $fopen("test.out"); $shm_open("shm.db",1); // Opens a waveform database $shm_probe("AS"); // Saves all signals to database #7 $shm_close(); // Closes the waveform database #1 $finish; end always begin desc3 = handle3; #1 $fdisplay(desc3, $time," A= %b, out=%b, Clk=%b", A, out, Clk); end // Stimulate inputs initial begin #1 A = 1'b0; #2 A = 1'b1; end endmodule